Quartusの最上位(Blok Diagram/Schematic File) ブロック図の作成方


Protel DXP で書くと

このシートで出来たVHDLファイルBlocks.VHD(3.07Kバイト)
ダウンロードBlocks.zip(70.5Kバイト)
シンボル等は自動で出来るので詳しい手順は必要ないと思い説明しないことにします。
注意としては”Block”は内部宣言に使われたいますので
Blocks”としました。

Protel 2004 のプロジェクトファイルも、ご紹介いたします。
ダウンロード Blocks.zip(54.5Kバイト)


Quartus II 3.0 で書くと

ダウンロード
Block Files Block.zip(99.6 KB)

これをVHDLで書くと BlockVHDL.vhd(728 バイト)
BlockVHDL Files BlockVHDL.zip(97.2 KB)


Quartus II での解説
 階層の最上位はブロック図にした方が誰が見ても解りやすいのでお勧めいたします、この方法が通常の形かも解りませんが、取り合えず、ご紹介いたします。

1)まず始めワークエリアに Block と名付けたデレクトリーを作ります。

2)Blockフォルダーの中に VHDL ソースファイルを作ります、今回はVhdl.vhd1Vhdl2.vhd を作りました。

3)メニューの File -->New で Block Diagram/Schmatic File を選択し開きます。

4)前もって保存します、今回は Block にしました、保存の時プロジェクトウイザードダイアログがでますのでウイザードに従い設定して行きます。

5)Vhdl.vhd1 ウインドーをアクティブ(最上位ウインド)にし、メニューから File --> Create/Update --> Create Symbol File For Current File をセレクトしますとコンパイルが始まり正常ですと完了です、エラーが出ましたらエラーを取り除き再度繰り返します。
Vhdl2.vhdも同様同じ手順でシンボルを作ります。

6)Blockウインドーの空白の場所でダブルクリック、出来たシンボルを読み出し Block ウインドーに配置します

7)Simbol ピンをセレクトして配置していきます、配置が完了したら PIN_Neme を書き換えて Orthogoal Node Toll で(ノードライン)で接続していきます。

8)後は、コンパイル、シュミレートと通常通りの手順です。